「Verilog学习笔记」异步复位同步释放

2024-01-03 11:32:54
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module ali16 (
    input clk,
    input rst_n,
    input d,
    output reg dout
);

//*************code***********//
    reg rst0, rst1 ; 
    always @ (posedge clk or negedge rst_n) begin 
        if (!rst_n) begin
            rst0 <= 0 ; 
            rst1 <= 0 ; 
        end
        else begin 
            rst0 <= 1 ; 
            rst1 <= rst0 ; 
        end
    end

    always @ (posedge clk or negedge rst1) begin 
        if (!rst1) dout <= 0 ; 
        else dout <= d ; 
    end

//*************code***********//
endmodule

文章来源:https://blog.csdn.net/m0_54689021/article/details/135358784
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。