【EDA工具-VCS和Verdi的使用_2023.12.9】

2023-12-13 06:35:22

芯片开发所需工具环境

Linux/Unix
编辑器Vim/Gvim
EDA工具
Makefile
脚本语言Perl/Python
版本控制SVN/GIT

脚本语言Makefile

形式(由一系列的规则组成)

规则的目标:规则的依赖(可有可无)
规则的命令行(必须以TAB字符开头)
命令行后空格加\进行换行,\后一定不要有空格
用 # 来作注释,大小写是区分的

EDA工具

VCS(Dve看波形)/QuestaSim/NC编译仿真,Verdi可视化工具

EDA工具厂商

ModelSIM/Questasim:Mentor明导国际,16年被西门子收购,唯一可在Windows下使用
VCS:Synopsys新思科技(novas设计VCS和Verdi,2012年被Synopsys收购)
NCsim:Cadence楷登电子
熊猫系统:华大九天,国内EDA工具首屈一指的供应商
芯愿景,概伦电子,国微集团,芯华章,广立微,芯和半导体,合见工软

VCS的使用

simv -gui //terminal输入该命令,调用VCS
Ctrl+4快捷打开波形
观察竞争冒险区域
在这里插入图片描述

在这里插入图片描述
显示活动区域与非活动区域
在这里插入图片描述

Verdi的使用

Verdi用于查看VCS生成的fsdb模型,同VCS使用的vpd文件相比,更小

产生fsdb波形文件的三种方法

1.Makefile elab中加入+vcs+fsdbon //波形文件名固定“novas.fsdb”
Makefile文件代码:
在这里插入图片描述

2.在tb文件中加入

initial begin
$fsdbDumpfile(''test.fsdb'');
$fsdbDumpvars;
end

3.仿真目录sim中加脚本文件run.tcl

call {$fsdbDumpfile("test.fsdb")}
call {$fsdbDumpvars}
run

加载波形

在这里插入图片描述
直接拖动信号或者get signals(NWave窗口文件夹图标旁边)

linux快捷操作

列操作

Esc进入一般模式→ctrl+v进入visual block,选择列操作的行数→shift+i进入输入模式,输入需要重复的代码→esc键
在这里插入图片描述

快捷命令设置

家目录下的隐藏文件.cshrc
在这里插入图片描述
在这里插入图片描述
想换什么换什么

文章来源:https://blog.csdn.net/xiaotong121/article/details/134896711
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。