「Verilog学习笔记」 Johnson Counter

2023-12-16 13:30:37
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module JC_counter(
   input                clk ,
   input                rst_n,
 
   output reg [3:0]     Q  
);
    always @ (posedge clk or negedge rst_n) begin 
        if (~rst_n) Q <= 0 ;
        else Q <= {~Q[0], Q[3:1]} ; 
    end

endmodule

文章来源:https://blog.csdn.net/m0_54689021/article/details/135031001
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。