UVM中参数化类的使用

2023-12-26 15:28:39

1. 定义参数化的类?

注册时要专门的宏定义注册

class m_agent#(int T=0)extends uvm_agent;
    driver    drv;
    monitor   mon;
    sequencer sqr;
    `uvm_component_param_utils(m_agent#(T)) //注册
endclass

2. 声明例化

声明和例化时都需要传参,否则报错或传参失败

class env extends uvm_env;
    m_agent#(0) agt0; //声明时传参
    m_agent#(1) agt1;
    m_agent#(2) agt2;
    m_agent#(3) agt3;

    function void build_phase(uvm_phase phase);
        super.build_phase(phase);
        agt0 = m_agent#(0)::type_id::create("agt0",this);//例化时传参
        agt1 = m_agent#(1)::type_id::create("agt0",this);
        agt2 = m_agent#(2)::type_id::create("agt0",this);
        agt3 = m_agent#(3)::type_id::create("agt0",this);

    endfunction
endclass

3.背景

4个agent功能类似,并行运行,通过传参来表示不同的端口;

文章来源:https://blog.csdn.net/m0_61479247/article/details/135221334
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。