vivado 时间约束窗口

2023-12-17 10:50:43

时间约束窗口

“时序约束”窗口仅适用于“合成”和“实施”设计。对于详细的设计约束,您必须直接使用和编辑XDC文件。欲了解更多信息,请参见创建合成约束。可以使用以下三个选项之一打开“计时约束”窗口,如图所示如下图所示:

?选择窗口?→?时间限制。

?在“流导航器”面板的“合成”部分,选择“合成设计”?→?编辑计时限制。

?在Flow Navigator面板的Implementation部分,选择Implemented Design?→?编辑时间限制。

“时序约束”窗口以相同的方式显示内存中的时序约束顺序与XDC文件和Tcl脚本中的顺序相同,或者与您在中输入它们的顺序相同Tcl控制台。

无法从此窗口编辑某些约束。它们标有XDC编号编辑图标。时间约束电子表格时间约束电子表格显示特定的所有现有约束的详细信息类型使用时间约束电子表格可以查看和编辑约束选项。

面板的最后两列显示:

?源文件:约束来自的XDC文件或Tcl脚本的名称

?作用域单元格:应用约束时当前实例的名称。这个名字通常对应于具有专用约束的IP实例。了解更多有关信息,请参阅约束范围界定。双击的最后一行可以创建所选类型的新约束电子表格将打开相应的约束创建对话框,您可以填写的详细信息新的约束。单击“确定”在内存中应用约束并关闭窗口。一个新的电子表格中的行显示了新的约束信息。可以通过直接在电子表格中修改值来编辑任何现有约束。之后完成编辑后,单击“应用”在内存中应用修改后的约束。

约束创建,按类别分组

选择约束类型时,相应的电子表格将显示在右侧子窗口面板上。这允许您查看已经存在的所有相同类型的约束已创建。

若要创建新约束,请双击目标约束的名称。对话框允许您可以为每个选项指定值。单击“确定”后,该工具将执行以下操作:

1.验证语法。

2.将语法应用于内存。

3.在电子表格的末尾添加新约束。

4.在约束的完整列表末尾添加新约束。

所有约束

窗口底部显示加载到内存中的约束的完整列表,位于与应用它们的顺序相同。根据XDC对约束进行分组文件或它们源自的Tcl脚本。当XDC文件的作用域为特定分层单元格,单元格名称显示在文件名旁边。

可以展开和折叠每个关联源文件的约束,也可以完全通过单击面板左侧的两个相应按钮。

取消选择“按源分组”图标以将视图切换到源所在的表约束文件和作用域单元格信息显示在右侧的两列中。

?要删除约束,请选择它并单击X。

?要编辑非只读约束,请使用电子表格视图。在您的更改之后已由该工具注册,则必须单击“应用”以刷新内存中的约束。

?要添加新的约束,请使用前面描述的对话框,或键入约束在Tcl控制台中。新约束显示在名为的组中的列表末尾<unsaved_constraints>。

保存约束时,新约束将保存在XDC文件的末尾,标记为目标如果在与内存中的设计相关联的约束集中没有目标XDC文件,或者如果约束集中只有一个Tcl脚本,系统会提示您指定保存的位置约束。定期保存约束条件。单击“保存”,或选择“文件”?→?约束?→保存

文章来源:https://blog.csdn.net/cckkppll/article/details/135041457
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。