[Verilog] 加法器实现

2023-12-31 23:46:43

在这里插入图片描述

1. 4位的加法器

先来一个最基本的的Verilog加法器

设计代码

module adder_4bit (input [3:0] a, b, output [3:0] sum, output carry);
    assign 

文章来源:https://blog.csdn.net/vagrant0407/article/details/135319807
本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。